设计与开发

单片机modbus rtu 学习工控值得收藏 51单片机与MCGS的modbusRTU通信

小编 2024-10-06 设计与开发 23 0

学习工控值得收藏 51单片机与MCGS的modbusRTU通信

目前,工业组态软件已经广泛应用于工业控制领域。用户无需了解复杂的编程知识,就可以用工业组态软件在短时间内完成一个具备专业水准的计算机监控系统的开发工作。MCGS是一套基于Windows平台的、为工业过程控制和实时监测服务的32位全中文界面组态软件系统。为了与现场设备进行交互,它提供了国内外各种常用的工控设备的工控设备的驱动程序;但现场很多设备是厂家根据本厂要求定制的设备,这就需要设计定制新设备与MCGS的数据通讯程序。本文以STC89C52单片机为例,编写单片机与MSGS的modbus rtu通讯协议,本文只列出了MODBUS RTU协议的子程序。

sbit LED0 = P1^0; // 对应线圈0

sbit LED1 = P1^1; // 对应线圈1

sbit LED2 = P1^2; // 对应线圈2

sbit LED3 = P1^3; // 对应线圈3

sbit LED4 = P1^4; // 对应线圈4

sbit LED5 = P1^5; // 对应线圈5

sbit LED6 = P1^6; // 对应线圈6

sbit LED7 = P1^7; // 对应线圈7

sbit KEY0 = P3^2;

sbit KEY1 = P3^3;

sbit KEY2 = P3^4;

sbit KEY3 = P3^5;

//字地址 0 - 255 (只取低8位)

//位地址 0 - 255 (只取低8位)

/* CRC 高位字节值表 */

const UINT8 code auchCRCHi[] = {

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,

0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,

0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,

0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,

0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,

0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40,

0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,

0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,

0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40,

0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,

0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,

0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,

0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,

0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40,

0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,

0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,

0x80, 0x41, 0x00, 0xC1, 0x81, 0x40

} ;

// CRC低位字节值表

const UINT8 code auchCRCLo[] = {

0x00, 0xC0, 0xC1, 0x01, 0xC3, 0x03, 0x02, 0xC2, 0xC6, 0x06,

0x07, 0xC7, 0x05, 0xC5, 0xC4, 0x04, 0xCC, 0x0C, 0x0D, 0xCD,

0x0F, 0xCF, 0xCE, 0x0E, 0x0A, 0xCA, 0xCB, 0x0B, 0xC9, 0x09,

0x08, 0xC8, 0xD8, 0x18, 0x19, 0xD9, 0x1B, 0xDB, 0xDA, 0x1A,

0x1E, 0xDE, 0xDF, 0x1F, 0xDD, 0x1D, 0x1C, 0xDC, 0x14, 0xD4,

0xD5, 0x15, 0xD7, 0x17, 0x16, 0xD6, 0xD2, 0x12, 0x13, 0xD3,

0x11, 0xD1, 0xD0, 0x10, 0xF0, 0x30, 0x31, 0xF1, 0x33, 0xF3,

0xF2, 0x32, 0x36, 0xF6, 0xF7, 0x37, 0xF5, 0x35, 0x34, 0xF4,

0x3C, 0xFC, 0xFD, 0x3D, 0xFF, 0x3F, 0x3E, 0xFE, 0xFA, 0x3A,

0x3B, 0xFB, 0x39, 0xF9, 0xF8, 0x38, 0x28, 0xE8, 0xE9, 0x29,

0xEB, 0x2B, 0x2A, 0xEA, 0xEE, 0x2E, 0x2F, 0xEF, 0x2D, 0xED,

0xEC, 0x2C, 0xE4, 0x24, 0x25, 0xE5, 0x27, 0xE7, 0xE6, 0x26,

0x22, 0xE2, 0xE3, 0x23, 0xE1, 0x21, 0x20, 0xE0, 0xA0, 0x60,

0x61, 0xA1, 0x63, 0xA3, 0xA2, 0x62, 0x66, 0xA6, 0xA7, 0x67,

0xA5, 0x65, 0x64, 0xA4, 0x6C, 0xAC, 0xAD, 0x6D, 0xAF, 0x6F,

0x6E, 0xAE, 0xAA, 0x6A, 0x6B, 0xAB, 0x69, 0xA9, 0xA8, 0x68,

0x78, 0xB8, 0xB9, 0x79, 0xBB, 0x7B, 0x7A, 0xBA, 0xBE, 0x7E,

0x7F, 0xBF, 0x7D, 0xBD, 0xBC, 0x7C, 0xB4, 0x74, 0x75, 0xB5,

0x77, 0xB7, 0xB6, 0x76, 0x72, 0xB2, 0xB3, 0x73, 0xB1, 0x71,

0x70, 0xB0, 0x50, 0x90, 0x91, 0x51, 0x93, 0x53, 0x52, 0x92,

0x96, 0x56, 0x57, 0x97, 0x55, 0x95, 0x94, 0x54, 0x9C, 0x5C,

0x5D, 0x9D, 0x5F, 0x9F, 0x9E, 0x5E, 0x5A, 0x9A, 0x9B, 0x5B,

0x99, 0x59, 0x58, 0x98, 0x88, 0x48, 0x49, 0x89, 0x4B, 0x8B,

0x8A, 0x4A, 0x4E, 0x8E, 0x8F, 0x4F, 0x8D, 0x4D, 0x4C, 0x8C,

0x44, 0x84, 0x85, 0x45, 0x87, 0x47, 0x46, 0x86, 0x82, 0x42,

0x43, 0x83, 0x41, 0x81, 0x80, 0x40

} ;

UINT8 testCoil; //用于测试 位地址1

UINT8 localAddr = 1; //单片机控制板的地址

UINT16 testRegister0,// 测试寄存器

testRegister1,

testRegister2,

testRegister3,//存放当前温度

testRegister4,//读写寄存器 控制P1口输出

testRegister5,

testRegister6,

testRegister7,

testRegister8,

testRegister9;

UINT16 crc16(const UINT8 *puchMsg, UINT16 usDataLen)

{

UINT8 uchCRCHi = 0xFF ; /* 高CRC字节初始化 */

UINT8 uchCRCLo = 0xFF ; /* 低CRC 字节初始化 */

UINT32 uIndex ; /* CRC循环中的索引 */

while (usDataLen--) { /* 传输消息缓冲区 */

uIndex = uchCRCHi ^ *puchMsg++ ; /* 计算CRC */

uchCRCHi = uchCRCLo ^ auchCRCHi[uIndex] ;

uchCRCLo = auchCRCLo[uIndex] ;

}

return (uchCRCHi << 8 | uchCRCLo) ;

}

//开始发送

void beginSend(void)

{

UartSendBytes (sendBuf, sendCount);

}

//读线圈状态

void readCoil(void)

{

UINT8 addr;

UINT8 tempAddr;

UINT8 byteCount;

UINT8 bitCount;

UINT16 crcData;

UINT8 position;

UINT8 i, k;

UINT16 tempData;

UINT8 exit = 0;

//addr = (receBuf[2]<<8) + receBuf[3];

//tempAddr = addr & 0xfff;

addr = receBuf[3];

tempAddr = addr;

//bitCount = (receBuf[4]<<8) + receBuf[5]; //读取的位个数

bitCount = receBuf[5];

byteCount = bitCount / 8; //字节个数

if (bitCount % 8 != 0)

byteCount++;

for (k = 0; k < byteCount; k++) {

//字节位置

position = k + 3;

sendBuf[position] = 0;

for (i = 0; i < 8; i++) {

getCoilVal(tempAddr, &tempData);

sendBuf[position] |= (tempData << i);

tempAddr++;

if (tempAddr >= addr + bitCount) {

//读完

exit = 1;

break;

}

}

if (exit == 1)

break;

}

sendBuf[0] = localAddr;

sendBuf[1] = 0x01;

sendBuf[2] = byteCount;

byteCount += 3;

crcData = crc16(sendBuf, byteCount);

sendBuf[byteCount] = crcData >> 8;

byteCount++;

sendBuf[byteCount] = crcData & 0xff;

sendCount = byteCount + 1;

beginSend();

}

//读寄存器

void readRegisters(void)

{

UINT8 addr;

UINT8 tempAddr;

UINT16 crcData;

UINT8 readCount;

UINT8 byteCount;

UINT16 i;

UINT16 tempData = 0;

//addr = (receBuf[2]<<8) + receBuf[3];

//tempAddr = addr & 0xfff;

addr = receBuf[3];

tempAddr = addr;

//readCount = (receBuf[4]<<8) + receBuf[5]; //要读的个数

readCount = receBuf[5];

byteCount = readCount * 2;

for (i = 0; i < byteCount; i += 2, tempAddr++) {

getRegisterVal(tempAddr, &tempData);

sendBuf[i+3] = tempData >> 8;

sendBuf[i+4] = tempData & 0xff;

}

sendBuf[0] = localAddr;

sendBuf[1] = 3;

sendBuf[2] = byteCount;

byteCount += 3;

crcData = crc16(sendBuf, byteCount);

sendBuf[byteCount] = crcData >> 8;

byteCount++;

sendBuf[byteCount] = crcData & 0xff;

sendCount = byteCount + 1;

beginSend();

}//void readRegisters(void)

//强制单个线圈

void forceSingleCoil(void)

{

UINT8 addr;

UINT8 tempAddr;

UINT16 tempData;

UINT8 onOff;

UINT8 i;

//addr = (receBuf[2]<<8) + receBuf[3];

//tempAddr = addr & 0xfff;

addr = receBuf[3];

tempAddr = addr;

//onOff = (receBuf[4]<<8) + receBuf[5];

onOff = receBuf[4];

//if(onOff == 0xff00)

if (onOff == 0xff) {

//设为ON

tempData = 1;

}

//else if(onOff == 0x0000)

else if (onOff == 0x00) {

//设为OFF

tempData = 0;

}

setCoilVal(tempAddr, tempData);

for (i = 0; i < receCount; i++) {

sendBuf[i] = receBuf[i];

}

sendCount = receCount;

beginSend();

}

void presetSingleRegister(void) //设置单个寄存器

{

U8 addr;

U8 tempAddr;

U8 setCount;

U16 crcData;

U16 tempData;

//addr = (receBuf[2]<<8) + receBuf[3];

//tempAddr = addr & 0xfff;

addr = receBuf[3];

tempAddr = addr; //& 0xff

tempData = ( receBuf[4]<<8 ) + receBuf[5];

setRegisterVal(tempAddr,tempData);

sendBuf[0] = localAddr;

sendBuf[1] = 6;

sendBuf[2] = addr >> 8;

sendBuf[3] = addr & 0xff;

sendBuf[4] = receBuf[4];

sendBuf[5] = receBuf[5] ;

setCount = 6; //共6个字节

crcData = crc16(sendBuf,6);

sendBuf[6] = crcData >> 8;

sendBuf[7] = crcData & 0xff;

sendCount = 8;

beginSend();

}

//设置多个寄存器

void presetMultipleRegisters(void)

{

UINT8 addr;

UINT8 tempAddr;

UINT8 byteCount;

UINT8 setCount;

UINT16 crcData;

UINT16 tempData;

UINT8 i;

//addr = (receBuf[2]<<8) + receBuf[3];

//tempAddr = addr & 0xfff;

addr = receBuf[3];

tempAddr = addr & 0xff;

//setCount = (receBuf[4]<<8) + receBuf[5];

setCount = receBuf[5];

byteCount = receBuf[6];

for (i = 0; i < setCount; i++, tempAddr++) {

//SBUF = receBuf[i*2+7];

//SBUF = receBuf[i*2+8];

tempData = (receBuf[i*2+7] << 8) + receBuf[i*2+8];

setRegisterVal(tempAddr, tempData);

}

sendBuf[0] = localAddr;

sendBuf[1] = 16;

sendBuf[2] = addr >> 8;

sendBuf[3] = addr & 0xff;

sendBuf[4] = setCount >> 8;

sendBuf[5] = setCount & 0xff;

crcData = crc16(sendBuf, 6);

sendBuf[6] = crcData >> 8;

sendBuf[7] = crcData & 0xff;

sendCount = 8;

beginSend();

}

//取线圈状态 返回0表示成功

UINT16 getCoilVal(UINT16 addr, UINT16 *tempData)

{

UINT16 result = 0;

UINT16 tempAddr;

tempAddr = addr & 0xfff;

//只取低8位地址

switch( tempAddr ) //只取低8位地址 & 0xff

{

case 0:

if (KEY0)

*tempData = 1;

else

*tempData = 0;

break;

case 1:

if (KEY1)

*tempData = 1;

else

*tempData = 0;

break;

case 2:

if (KEY2)

*tempData = 1;

else

*tempData = 0;

break;

case 3:

if (KEY3)

*tempData = 1;

else

*tempData = 0;

break;

case 4:

break;

case 5:

break;

case 6:

break;

case 7:

break;

case 8:

break;

case 9:

break;

case 10:

break;

case 11:

break;

case 12:

break;

case 13:

break;

case 14:

break;

case 15:

break;

default:

break;

}

return result;

}

//设定线圈状态 返回0表示成功

UINT16 setCoilVal(UINT16 addr, UINT16 tempData)

{

UINT16 result = 0;

UINT16 tempAddr;

tempAddr = addr & 0xfff;

switch(tempAddr) // & 0xff

{

case 0:

if (tempData)

LED0 = 1;

else

LED0 = 0;

break;

case 1:

if (tempData)

LED1 = 1;

else

LED1 = 0;

break;

case 2:

if (tempData)

LED2 = 1;

else

LED2 = 0;

break;

case 3:

if (tempData)

LED3 = 1;

else

LED3 = 0;

break;

case 4:

if (tempData)

LED4 = 1;

else

LED4 = 0;

break;

case 5:

if (tempData)

LED5 = 1;

else

LED5 = 0;

break;

case 6:

if (tempData)

LED6 = 1;

else

LED6 = 0;

break;

case 7:

if (tempData)

LED7 = 1;

else

LED7 = 0;

break;

case 10:

break;

case 11:

break;

case 12:

break;

case 13:

break;

case 14:

break;

case 15:

break;

case 16:

break;

case 17:

break;

default:

break;

}

return result;

}

//取寄存器值 返回0表示成功

UINT16 getRegisterVal(UINT16 addr, UINT16 *tempData)

{

UINT16 result = 0;

UINT16 tempAddr;

tempAddr = addr & 0xfff;

switch(tempAddr) //& 0xff

{

case 0:

*tempData = testRegister0;

break;

case 1:

*tempData = testRegister1;

break;

case 2:

*tempData = testRegister2;

break;

case 3:

//testRegister3= sdate;

*tempData = testRegister3;

break;

case 4:

*tempData = testRegister4;

break;

case 5:

*tempData = testRegister5;

break;

case 6:

*tempData = testRegister6;

break;

case 7:

*tempData = testRegister7;

break;

case 8:

*tempData = testRegister8;

break;

case 9:

*tempData = testRegister9;

break;

case 10:

break;

case 11:

break;

case 12:

break;

case 13:

break;

case 14:

break;

case 15:

break;

case 16:

break;

default:

break;

}

return result;

}

//设置寄存器值 返回0表示成功

UINT16 setRegisterVal(UINT16 addr, UINT16 tempData)

{

UINT16 result = 0;

UINT16 tempAddr;

tempAddr = addr & 0xfff;

switch(tempAddr) //& 0xff

{

case 0:

testRegister0 = tempData;

break;

case 1:

testRegister1 = tempData;

break;

case 2:

testRegister2 = tempData;

break;

case 3:

testRegister3 = tempData;

break;

case 4:

testRegister4 = tempData;

P1=tempData ; //&& 0X00FF

break;

case 5:

testRegister5 = tempData;

break;

case 6:

testRegister6 = tempData;

break;

case 7:

testRegister7 = tempData;

break;

case 8:

testRegister8 = tempData;

break;

case 9:

testRegister9 = tempData;

break;

case 10:

break;

case 11:

break;

case 12:

break;

case 13:

break;

case 14:

break;

case 15:

break;

case 16:

break;

default:

break;

}

return result;

}

void ModbusDelay (unsigned int nDelay)

{

volatile unsigned int i, j;

for (i = 0; i < nDelay; i ++)

for (j = 0; j < 10; j ++);

}

//检查uart0数据

void checkComm0Modbus(void)

{

UINT16 crcData;

UINT16 tempData;

if (receCount > 4) {

switch (receBuf[1]) {

case 1://读取线圈状态(读取点 16位以内)

case 3://读取保持寄存器(一个或多个)

case 5://强制单个线圈

case 6://设置单个寄存器

if (receCount >= 8) {

//接收完成一组数据

//应该关闭接收中断

UART_DISABLE_INTERRUPT();

if (receBuf[0] == localAddr) {

ModbusDelay (10);

crcData = crc16(receBuf, 6);

if (crcData == receBuf[7] + (receBuf[6] << 8)) {

//校验正确

if (receBuf[1] == 1) {

//读取线圈状态(读取点 16位以内)

readCoil();

}

else if (receBuf[1] == 3) {

//读取保持寄存器(一个或多个)

readRegisters();

}

else if (receBuf[1] == 5) {

//强制单个线圈

forceSingleCoil();

}

else if (receBuf[1] == 6) {

//写单个寄存器

presetSingleRegister();

}

}

}

RI = 0;

receCount = 0;

UART_ENABLE_INTERRUPT();

}

break;

case 15://设置多个线圈

tempData = receBuf[6];

tempData += 9; //数据个数

if (receCount >= tempData) {

if (receBuf[0] == localAddr) {

crcData = crc16(receBuf, tempData - 2);

if (crcData == (receBuf[tempData-2] << 8) + receBuf[tempData-1]) {

//forceMultipleCoils();

}

}

receCount = 0;

}

break;

case 16://设置多个寄存器

tempData = (receBuf[4] << 8) + receBuf[5];

tempData = tempData * 2; //数据个数

tempData += 9;

if (receCount >= tempData) {

UART_DISABLE_INTERRUPT();

if (receBuf[0] == localAddr) {

crcData = crc16(receBuf, tempData - 2);

if (crcData == (receBuf[tempData-2] << 8) + receBuf[tempData-1]) {

presetMultipleRegisters();

}

}

RI = 0;

receCount = 0;

UART_ENABLE_INTERRUPT();

}

break;

default:

break;

}

}

}

PLC如何与单片机进行通讯?共有三种方法!你会用几个?

原创不易,请勿抄袭!

通讯是一个工控朋友学习或者工作到一定程度不得不面对的一个难题,可也是区别新手和高手的一道分水岭,新手遇到通讯问题往往会比较抓狂,不知道如何着手,而老手即使面对没有经验的设备也会有一个大概的思路.有朋友问我关于西门子PLC与单片机通讯的问题,今天给大家汇总一下.

首先西门子PLC与单片机共有三种办法进行信号交换:

1 信号线连接.这是一种最简单的方式,即在单片机和PLC之间进行连接信号线,PLC的输入接单片机输出;PLC输出接单片机输入,这是一种最普遍的方式,通过这种方式PLC几乎可以和任何工控的控制装置连接,比如伺服系统,变频器,机器人等等!缺点是如果需要传递的信号太多,那么电缆数量也会很大,而且一旦电缆损坏,维护起来很困难!

2 自由口通讯,以前我们多次讲到过自由口通讯,而且专门讲解过ASCII码,有需要的朋友可以去我以前的文章里去找,今天不重复了。

3 第三种方法是利用ModBus协议进行通讯。

本节主要讲解以PLC作主站,51单片机作从站,用ModBus协议进行通讯。PLC读取单片机保持寄存器区的数据。S7-200PLC程序主要通过调用Modubs RTU 主站指令库完成。

一、调用 Modbus RTU 主站初始化和控制子程序

使用 SM0.0 调用 MBUS_CTRL 完成主站的初始化,并启动其功能控制:

各参数意义如下:

1 EN 使能:必须保证每一扫描周期都被使能(使用 SM0.0)

2 Mode 模式:为 1 时,使能 Modbus 协议功能;为 0 时恢复为系统 PPI 协议

3 Baud 波特率:支持的通讯波特率为1200,2400,4800,9600,19200,38400,57600,115200。

4 Parity 校验:校验方式选择;0=无校验,1=奇较验,2=偶较验。

5 Timeout 超时:主站等待从站响应的时间,以毫秒为单位,典型的设置值为 1000 毫秒(1 秒),允许设置的范围为 1 - 32767。

注意: 这个值必须设置足够大以保证从站有时间响应。

6 Done 完成位:初始化完成,此位会自动置1。可以用该位启动 MBUS_MSG 读写操作(见例程)

7 Error 初始化错误代码(只有在 Done 位为1时有效): 0= 无错误,1= 校验选择非法,2= 波特率选择非法,3= 模式选择非法。

二、调用 Modbus RTU 主站读写子程序MBUS_MSG,发送一个Modbus 请求;

各参数意义如下:

常见的错误:

如果多个 MBUS_MSG 指令同时使能会造成 6 号错误库存储区被程序其它地方复用,有时也会造成6 号错误从站 delay 参数设的时间过长会造成主站 3 号错误从站掉电或不运行,网络故障都会造成主站 3 号错误。

含义如下:

1 EN 使能:同一时刻只能有一个读写功能(即 MBUS_MSG)使能

注意:建议每一个读写功能(即 MBUS_MSG)都用上一个 MBUS_MSG 指令的 Done 完成位来激活,以保证所有读写指令循环进行(见例程)。

2 First 读写请求位:每一个新的读写请求必须使用脉冲触发

3 Slave 从站地址:可选择的范围 1 - 247

4 RW 从站地址:0 = 读, 1 = 写;注意:

1. 开关量输出和保持寄存器支持读和写功能

2. 开关量输入和模拟量输入只支持读功能

5 Addr 读写从站的数据地址:选择读写的数据类型

00001 至 0xxxx - 开关量输出

10001 至 1xxxx - 开关量输入

30001 至 3xxxx - 模拟量输入

40001 至 4xxxx - 保持寄存器

6 Count 数据个数;通讯的数据个数(位或字的个数)

注意: Modbus主站可读/写的最大数据量为120个字(是指每一个 MBUS_MSG 指令)

7 DataPtr 数据指针:

1. 如果是读指令,读回的数据放到这个数据区中

2. 如果是写指令,要写出的数据放到这个数据区中

8 Done 完成位 读写功能完成位

9 Error 错误代码:只有在 Done 位为1时,错误代码才有效

0 = 无错误

1 = 响应校验错误

2 = 未用

3 = 接收超时(从站无响应)

4 = 请求参数错误(slave address, Modbus address, count, RW)

5 = Modbus/自由口未使能

6 = Modbus正在忙于其它请求

7 = 响应错误(响应不是请求的操作)

8 = 响应CRC校验和错误

-

101 = 从站不支持请求的功能

102 = 从站不支持数据地址

103 = 从站不支持此种数据类型

104 = 从站设备故障

105 = 从站接受了信息,但是响应被延迟

106 = 从站忙,拒绝了该信息

107 = 从站拒绝了信息

108 = 从站存储器奇偶错误

三、需要从站支持的功能及Modbus 保持寄存器地址映射

为了支持上述 Modbus 地址的读写,Modbus Master 协议库需要从站支持下列功能:

Modbus 保持寄存器地址映射举例:

四、S7-200PLC程序

五、单片机程序;STC11F04E单片机,9600波特率

START: MOV TMOD,#21H ;定时器1是8位再装入,定时器0为16位定时器

MOV TH1,#0FDH;预置初值(按照波特率9600BPS预置初值)

MOV TL1,#0FDH; 0FDH=9600=11.0592

MOV TH0, #0DCH;88H ;8800=12t,7000=stc1t

MOV TL0, #00H

ORL IE, #92H ;EA=1,ES=1;ET0=1

SETB PS ;串口中断优先

SETB TR1 ;启动定时器1

MOV 98H,#50H ;scon

MOV P1M0,#01000000b ; P1M0=0 P1M1=0双向口 P1M0=1 P1M1=0输入口 P1M0=0 P1M1=1推挽输出20ma

MOV P1M1,#10000000b

MOV WDT_CONTR ,#27H 看门狗设置使能

QL0: MOV A,#00H

MOV R0,#10H

MOV R2,#9BH ;10-ABH清零

CLEAR: MOV @R0,A

INC R0

DJNZ R2,CLEAR

CLR FLAG

CLR FLAG_0

SETB TR0 ;启动定时器0

;ANL AUX,#07FH ;p3.0p3.1当串口

ORL AUX,#80H ;p1.7,p1.6当串口

CLR P3.7 ;485芯片接收使能

WA1: ;MOV WDT_CONTR ,#37H;喂狗; SETB CW

JNB FLAG_0,WA1 ;FLAG_0=1表示已经接收到上位机数据

CLR TR0

MOV A,2CH ;检查设备地址是01h码,设本机地址码是1

MOV R2,A

XRL A,#01H

JNZ QL0

ACALL FSZJ ;FH: DB 01H,03H,16,00H,01H,02H,03H,04H,05H,06H,07H,08H,09H,10H,11H,12H,0DH,0EH,0FH,10H,11H,12H,13H,14H,15H,16H,17H,18H,19H,1AH,1BH,1CH,1DH,1EH,1FH;18

ACALL DELAY

CALL FZJ

AJMP QL0

FZJ: MOV R0,#2cH ;向主机发送数据子程序

FZJ0: MOV R2,#10H

FZJ1: CLR EA

ANL AUX,#07FH ;p3.0p3.1当串口

FZL1: MOV A,@R0

MOV SBUF,A

JNB TI,$

CLR TI

INC R0

DJNZ R2,FZL1

SETB EA

RET

FSZJ: MOV DPTR,#FH

MOV R2,#19;

ORL AUX,#80H

SETB P3.7 ;发送数据

MOV R0,#40H

FSZJA: MOV A,#0H

MOVC A,@A+DPTR

MOV @R0,A

INC R0

INC DPTR

DJNZ R2,FSZJA

MOV R0,#40H

MOV CRCCD,#19

LCALL CRC1

MOV R2,#21

MOV R0,#40H

FSZJ2: MOV A,@R0

MOV SBUF,A

JNB TI,$

CLR TI

INC R0

DJNZ R2,FSZJ2

SETB EA

RET

FH:DB 01H,03H,16,00H,01H,02H,03H,04H,05H,06H,07H,08H,09H,10H,11H,12H,0DH,0EH,0FH,10H,11H,12H,13H,14H,15H,16H,17H,18H,19H,1AH,1BH,1CH,1DH,1EH,1FH;18

用串口助手检测到的数据如下图。

喜欢的话请点赞并分享,您的支持是我坚持下去的动力!送人玫瑰,手有余香!

相关问答

什么是 ModBusRTU 通讯协议?

什么是ModBusRTU通讯协议Modbus协议最初由Modicon公司开发出来,在1979年末该公司成为施耐德自动化(SchneiderAutomation)部门的一部分,现在Modbus已经是...

Modbus 协议 RTU 格式中通信格式字一定有起始位吗?

Modbus-RTU协议中,不用判断起始位。这种通信采用的是主机轮询、从机应答式的通讯方式,从机通过地址来判断主机的通信对象是否为自己,所以不用判断起始位。1M...

Modbus 的传输模式有哪几种?消息帧结构分别是怎样构成的?

1、ASCII模式当控制器设为在Modbus网络上以ASCII(美国标准信息交换代码)模式通信,在消息中的每个8Bit字节都作为两个ASCII字符发送。这种方式的主要优点是字...

用上位机控制 单片机 然后实现数据传输,学习哪种上位机好呢?

用上位机控制单片机然后实现数据传输,学习哪种上位机好呢?既然是控制单片机,那么通讯协议是可以自己编写实现的,要实现数据传输甚至不需要自己编写上位机软...

modbus 指令?

Modbus是一种常用的通信协议,用于在自动化系统中实现设备之间的数据传输和通信。Modbus协议定义了一组指令,用于读取和写入设备寄存器的数据。以下是一些常见...

同等距离 modbus tcp比 modbus rtu 速度快吗?

首先你要弄清楚TCP和RTU的概念,MODBUS有两种数据传输方式,就是RTU和ASCII码,RTU是二进制数据直接传输,ASCII是将MODBUS指令和返回数据转换为字符串形式传输。...

modbusrtu modbus tcp速度区别?

ModbusRTU和ModbusTCP之间的主要区别体现在速度上。ModbusRTU的速度较高,可达到19.2Kbps,而ModbusTCP的速度较低,一般为10Mbps以下。首先你要弄清楚TCP和...

modbus rtu 通讯协议实例?

modbusrtu通讯协议的实例三菱plc与三菱变频器走通信走MODBUSrtu很麻烦,它有自家的专用的变频器通信功能,使用专用的指令即可完成对变频器的读写操作编程相...

modbus 通讯指令类型?

Modbus是一种串行通讯协议,广泛应用于工业自动化领域。Modbus通讯指令类型主要有两种:ModbusRTU和ModbusASCII。ModbusRTU是一种二进制通讯协议,数据在传输...

MODBUS 协议是什么?

Modbus有下列三种通信方式以太网,对应的通信模式是MODBUSTCP。异步串行传输(各种介质如有线RS-232-/422/485/;光纤、无线等),对应的通信模式是MODBUSRTU或MO...

猜你喜欢