设计与开发

simulink单片机 Simulink基于模型开发方式在任意单片机上运行

小编 2024-10-08 设计与开发 23 0

Simulink基于模型开发方式在任意单片机上运行

导言-一个基于模型的例子

使用Arduino在simulink中搭建的LED闪烁的一个例子,相比之前C语言代码实现的方式,这里没有写一行代码就实现了LED闪烁。读者有没有感觉这种开发方式即简单又方便,要实现什么功能就直奔主题,不用一行一行的敲代码、排查代码错误。图1只是arduino其中的I/O输出外设,在图2中还有其它很多外设可以使用,再结合matlab强大的功能,读者可以实现很多实际应用。

图1 Arduino-simulink的LED闪烁模型

图2 simulink中arduino其它外设的模型

任意单片机基于模型开发方式

并不是每一个芯片厂商都有足够的人力和资金投入到主流开发软件的生态适配,目前除了市面上用的比较火的几款单片机(STM32、TMS320F28xx、S32K等)和Arduino平台可以直接使用Matlab的硬件支持包直接开发外,其它单片机没办法直接使用,这里给大家介绍一种万能的方式,可以在任意单片机上使用基于Simulink模型开发方式。

1、8051单片机上运行Simulink模型代码

第1步:搭建Simulink模型

如图3 所示,,这里使用了三个库,分别是“Delay(延时模型)”、“Logical Operator-NOT(逻辑操作-非模型)”和“out(输出端口模型)”,这里的输出端口为了方便代码移植将名字改为LED。

图3 LED闪烁simulink模型

第2步:设置模型生成参数并生成代码

如图4所示,点击按钮“Model Configuration Parameters”对simulink进行设置。

图4 simulink设置按钮

如图5所示,在弹出的“Configuration Parameters:xxxx”窗口中找到“Solver”栏,并点击设置运行参数,按照图中的设置,完成后点击“Apply”。

图5 “Solver”栏参数设置

如图6所示,在“Hardware Implementtation”栏中设置硬件参数,按照图中的设置进行操作,完成后点击“Apply”。

图6 “Hardware Implementtation”栏参数设置

如图7所示,点击“Code Generation”栏,设置代码生成参数,完成后点击“Apply”。

图7 “Code Generation”参数设置

如图8所示,点开“Code Generation”左边的“>”符号,在子栏目中找到“Code Style”项设置代码生成风格,完成后点击“Apply”。

图8 “Code Style”栏设置

如图9所示,点开“Code Generation”左边的“>”符号,在子栏目中找到“Code Placement”中设置“File packaging format”参数,完成后点击“Apply”,到这一步,所有参数都设置完成,然后点击“OK”按钮。

图9 “Code Placement”栏设置

如图10所示,点击按钮“Build Model”生成代码。

图10 点击生成模型代码

代码生成根据电脑性能不同,需要的时间也不一样,稍等一会儿,弹出“Code Generation Report”窗口报告,如图11所示,在这个窗口中可以看到整个模型生成了三个文件,分别是“ert_main.c”、"LED_Blink.c"和"LED_Blink.h",然后在LED_Blink.slx文件所在的目录下多了一个“LED_Blink_ert_rtw”名字的文件夹,生成的三个文件也包含在当中;看这三个代码有点像之前讲的模块化编程方式命名。

图11 LED_Blink模型代码生成报告

第3步:将simulink生产的代码添加到8051单片机工程中

这一步也是整个过程中最关键部分,也是在很多资料中一直没讲清楚的部分,将生成的代码移植到51单片机工程中去。先新建一个名字(其它名字也可以,只要正确建立Keil工程即可)为“LED_Blink”的Keil工程,工程的存放路径放在刚刚模型生成代码"Blink→LED_Blink_ert_rtw“文件夹下,如图12所示。

图12 在生成模型文件夹下建一个Keil工程

打开刚刚建立的Keil工程,将ert_main.c和LED_Blink.c文件添加到”Source Group 1“中,如图13所示。

图13 模型代码添加

在刚刚添加的文件中双击打开ert_main.c,在下面代码位置处加入8051单片机的内容,代码如下所示:

#include <stddef.h>#include <stdio.h> /* This ert_main.c example uses printf/fflush */#include "LED_Blink.h" /* Model's header file */#include "rtwtypes.h"#include <REGX52.H> /* 添加51单片机头文件 *//*********** 变量定义 *************/#define MAIN_CLOCK 12000000#define SYSTEM_DELAY 1000 /* 系统周期1ms */#define LED_TASK_TIME 500 /* SYSTEM_DELAY*0.500 = 500ms任务 */uint16_T LED_Task_Count = LED_TASK_TIME; /* 任务定时器变量 */void SYSTEM_T0_Init( void ); /* 定时器0初始化函数 */

添加单片机代码

然后在ert_main.c文件中添加定时器初始化代码,如下所示:

void SYSTEM_T0_Init( void ){ /* 定时器0配置为16位定时器,当溢出时手工重装 */ /* 清除所有有关T0的位 (T1不变) */ TMOD &= 0xF0; /* 设置所需的T0相关位 (T1 不变) */ TMOD |= 0x01; /* 停止定时器0 */ TR0 = 0; /* 设置定时器重装值 */ /* 我们这里设置1ms产生一次中断 */ /* 定时器低8位赋值 */ TL0 = 65536 -(MAIN_CLOCK/SYSTEM_DELAY/12); /* 定时器高8位赋值 */ TH0 = (65536-(MAIN_CLOCK/SYSTEM_DELAY/12))>>8; /* 启动T0 */ TR0 = 1; /* 使能定时器T0中断 */ ET0 = 1;}

在ert_main.c中编写定时器中断代码,如下所示:

/*************************************** 函 数 名:SYSTEM_Tick_Update() interrupt 1** 输入参数:none** 返 回 值:none** 说 明:定时器T0中断入口函数**************************************/void SYSTEM_Tick_Update( void ) interrupt 1{ /* 停止定时器0 */ TR0 = 0; /* 设置定时器重装值 */ /* 我们这里设置1ms产生一次中断 */ /* 定时器低8位赋值 */ TL0 = 65536 -(MAIN_CLOCK/SYSTEM_DELAY/12); /* 定时器高8位赋值 */ TH0 = (65536-(MAIN_CLOCK/SYSTEM_DELAY/12))>>8; /* 启动T0 */ TR0 = 1; /* LED Task 任务计时器*/ LED_Task_Count--;}

定时器中断函数代码

在main主函数中对代码进行修改,如下所示:

int_T main(){ /* Initialize model */ LED_Blink_initialize(); /* 定时器0 初始化 */ SYSTEM_T0_Init(); /* 使能总中断,这样定时器0才会启动 */ EA = 1; /* Attach rt_OneStep to a timer or interrupt service routine with * period 0.2 seconds (the model's base sample time) here. The * call syntax for rt_OneStep is * * rt_OneStep(); */ while (rtmGetErrorStatus(LED_Blink_M) == (NULL)) { /* Perform other application tasks here */if(LED_Task_Count<=0) { LED_Task_Count = LED_TASK_TIME; rt_OneStep(); /*输入/输出接口放置位置*/ P1_0 = LED_Blink_Y.LED; } } /* Disable rt_OneStep() here */ /* Terminate model */ LED_Blink_terminate(); return 0;}

main函数中代码

第4步:编译Keil工程并运行代码

点击Keil编译按钮编译代码,然后将代码烧录到实际芯片中或使用Pretous仿真验证代码。到这里大家就完成了在51单片机上实现LED闪烁Simulink模型代码移植的例子,LED闪烁的频率实际由宏定义#define LED_TASK_TIME 500来控制,在8051单片机上运行该代码时LED将以500ms的周期翻转。

2、simulink生成模型代码剖析

关于8051单片机怎么搭建简单操作系统框架可以参考网络上其他文章或关注作者的后续文章,这里就不再赘述,如图14所示的LED闪烁模型,实际上就是运行模型生成的rt_OneStep()函数,每个Simulink生成的模型里面都会有这个函数,rt_OneStep()运行完之后所有通过输入端的数据都会在搭建的模型逻辑中处理,然后通过输出端输出处理完后的数据,在LED闪烁模型中,没有输入端,只有输出端,所以模型每运行完一次,里面的逻辑翻转一次输出端口LED状态,即LED_Blink_Y.LED的数据,然后只需将模型输出端口与实际物理端口关联即可,这里关联的是P1_0端口,则最终表现出来的效果就是P1_0状态,即模型每运行一次,P1_0端口电平发生一次翻转,P1_0端口有连接LED,LED就会一直闪烁。

图14 基于模型LED程序执行流程

下面再深入到rt_OneStep()函数详细了解下它到底在里面做了什么事情,是不是与前面所说的一致,rt_OneStep()函数详细内容,函数中的其它内容暂时不管,可以看到里面关键的一步,调用了LED_Blink_step()函数,具体代码如下所示:

void rt_OneStep(void){ static boolean_T OverrunFlag = false; /* Disable interrupts here */ /* Check for overrun */ if (OverrunFlag) { rtmSetErrorStatus(LED_Blink_M, "Overrun"); return; } OverrunFlag = true; /* Save FPU context here (if necessary) */ /* Re-enable timer or interrupt here */ /* Set model inputs here */ /* Step the model */ LED_Blink_step(); /* Get model outputs here */ /* Indicate task complete */ OverrunFlag = false; /* Disable interrupts here */ /* Restore FPU context here (if necessary) */ /* Enable interrupts here */}

然后再到LED_Blink_step()函数中查看具体内容,在主函数中调用的LED_Blink_Y.LED在该函数中可以找到具体的逻辑。至此,LED闪烁模型的整个代码分析完成。其它模型也可以采用类似的方式来分析,具体代码如下所示:

void LED_Blink_step(void){ boolean_T rtb_Delay; /* Delay: '<Root>/Delay' */ rtb_Delay = LED_Blink_DW.Delay_DSTATE[0]; /* Outport: '<Root>/LED' incorporates: * Delay: '<Root>/Delay' */ LED_Blink_Y.LED = LED_Blink_DW.Delay_DSTATE[0]; /* Update for Delay: '<Root>/Delay' incorporates: * Logic: '<Root>/Logical Operator' */ LED_Blink_DW.Delay_DSTATE[0] = LED_Blink_DW.Delay_DSTATE[1]; LED_Blink_DW.Delay_DSTATE[1] = !rtb_Delay;}

simulink代码生成在GD32中实现详解(一)

首先需要来说说,为什么要使用simulink来生成代码?

很多朋友应该和我都差不多,嵌入式开发多年,各种单片机、操作系统都玩过,但是所有C代码都是用手撸。遇到算法问题,就自己写完以后,烧进单片机进行调试验证,谁让我们不懂matlab,没办法。这种开发方式对我们不懂matlab的人很有效,也能解决工作中的实际问题,就是效率太低了。

而高校毕业的研究生中,我遇到很多人只会matlab仿真,他们研究算法很快,仿真以后就能立即看到结果,但是有很多是水毕业的,只会仿真,不懂得实现,更别说转化为C代码,做成产品了。很多直到毕业单片机都没用过,还不是少数。

真正高效的应该是既懂得仿真,又能够懂得算法实现落地,有产品思维的人。作为不懂matlab的人,那就要补齐短板,作为搞电机控制的更是如此。仿真可以加速算法的迭代,降低前期研发成本投入,降低不必要的工作量,可以起到事半功倍的效果,因此很有必要掌握。

以STM32为例,simulink代码生成,可以直接生成STM32可运行的hex代码,电机控制模型链接如下:Motor Control Blockset 产品信息 - MATLAB https://ww2.mathworks.cn/products/motor-control.html。但是这种方式的局限性太大了,我尝试过要把里面的模型改为支持GD32,难如登天。

其实对于一名成熟的工程师,配置一下单片机的外围接口是很简单的事,而算法模型代码生成才是我们的重点。因此后面以FOC模型算法为例,自己配置单片机的外围接口,然后调用simulink生成的核心算法代码。这样的好处是,在做实际产品时,不受单片机厂商的限制,具备一定的通用性,想用那家就用那家,不要太爽。先讲到这里,与大家共勉!

相关问答

simulink 如何获取某一时刻的仿真时间

[回答]上海交通大学2007届本科生毕业设计(论文)选题汇总表学院电子信息与电气工程学院系专业序号题目名称研究方向课题性质课题来源指导教师学生主要工作...

用上位机控制 单片机 然后实现数据传输,学习哪种上位机好呢?

果确定要写上位机软件那么老董强烈推荐你使用C#因为国内有一位大神已经开源了一套组态软件的源码,这是一套完整的上位机软件,从底层通讯协议到网关再上层的...也...

如何成为一名机器工程师? 申请方

万年潜水党终于看到有人做机器了,感动,握手~想要成为机器工程师确实是个很伟大的目标,但是机器工程师不仅仅需要电子、机械、计算机等等方面的知识...

汽车电控系统入门教学?

熟悉汽车相关的知识是做汽车电控系统的基础。范围再缩小一些,要开发一个汽车电控系统部件,从硬件来说需要掌握模电数电,单片机原理,以及相关的硬件设计工具...

到了工业2025后,现在的PLC工控技术会落后被替代吗?

关注冀工控,我们一起长知识现在是2019年,距离2025年还有六年的时间,题主的问题PLC工控技术会落后被取代吗?我个人观点是不会被取代,下面我就来论证一下我...就...

simulink 仿真时,怎么把scope窗口的图形精确读出数据? - 雨露...

导入workspace

猜你喜欢